%implements s13_b0_l3kvb_2_InitializeConditions "C" %function InitializeConditions(block, system) Output /* InitializeConditions for DiscreteIntegrator: '/aestr_mem' */ %\ %\ /* InitializeConditions for DiscreteIntegrator: '/aestr_mem' */ %\ %\ %endfunction